This is the mail archive of the binutils@sourceware.org mailing list for the binutils project.


Index Nav: [Date Index] [Subject Index] [Author Index] [Thread Index]
Message Nav: [Date Prev] [Date Next] [Thread Prev] [Thread Next]
Other format: [Raw text]

RE: [PATCH][MIPS] Move copy_u.w to MSA64 ASE, remove copy_u.d


Ping. 

(sending to gmail account this time)

> -----Original Message-----
> From: binutils-owner@sourceware.org [mailto:binutils-owner@sourceware.org] On
> Behalf Of Robert Suchanek
> Sent: 25 September 2015 16:00
> To: Richard Sandiford (richard.sandiford@arm.com)
> Cc: binutils@sourceware.org
> Subject: [PATCH][MIPS] Move copy_u.w to MSA64 ASE, remove copy_u.d
> 
> Hi,
> 
> The following patch moves the COPY_U.W instruction to MSA64 and removes
> COPY_U.D.
> 
> The rationale for this is that COPY_U.W on MSA32 is dangerous if the code
> was executed on a 64-bit platform because the result will not be in 32-bit
> canonical form.  Just like LWU is only available on MIPS64.
> 
> Similarly with COPY_U.D on MSA64.  Not only it equivalent to COPY_S.D
> but also the result would not be in 64-bit canonical form.
> 
> Ok to apply?
> 
> Regards,
> Robert
> 
> opcodes/ChangeLog:
> 
> 	* mips-opc.c (mips_builtin_opcodes): Change "copy_u.w" to MSA64 ASE,
> 	remove "copy_u.d".
> 
> gas/testsuite/ChangeLog:
> 
> 	* gas/mips/micromips@msa.d: Remove "copy_u.w".
> 	* gas/mips/msa.d: Likewise.
> 	* gas/mips/msa.s: Likweise.
> 	* gas/mips/mipsr6@msa.d: Likewise.  Replace addresses with regex.
> 	* gas/mips/msa64.d: Add "copy_u.w".  Remove "copy_u.d".
> 	* gas/mips/msa64.s: Likewise.
> 	* gas/mips/micromips@msa64.d: Likewise.
> ---
>  gas/testsuite/gas/mips/micromips@msa.d   |  2 --
>  gas/testsuite/gas/mips/micromips@msa64.d |  4 +--
>  gas/testsuite/gas/mips/mipsr6@msa.d      | 62 ++++++++++++++++----------------
>  gas/testsuite/gas/mips/msa.d             |  2 --
>  gas/testsuite/gas/mips/msa.s             |  2 --
>  gas/testsuite/gas/mips/msa64.d           |  4 +--
>  gas/testsuite/gas/mips/msa64.s           |  4 +--
>  opcodes/mips-opc.c                       |  3 +-
>  8 files changed, 37 insertions(+), 46 deletions(-)
> 
> diff --git a/gas/testsuite/gas/mips/micromips@msa.d
> b/gas/testsuite/gas/mips/micromips@msa.d
> index 0ea25af..8d7f0bb 100644
> --- a/gas/testsuite/gas/mips/micromips@msa.d
> +++ b/gas/testsuite/gas/mips/micromips@msa.d
> @@ -540,8 +540,6 @@ Disassembly of section \.text:
>  [0-9a-f]+ <[^>]*> 58cf f756 	copy_u\.b	sp,\$w30\[15\]
>  [0-9a-f]+ <[^>]*> 58e0 07d6 	copy_u\.h	ra,\$w0\[0\]
>  [0-9a-f]+ <[^>]*> 58e7 1056 	copy_u\.h	at,\$w2\[7\]
> -[0-9a-f]+ <[^>]*> 58f0 20d6 	copy_u\.w	v1,\$w4\[0\]
> -[0-9a-f]+ <[^>]*> 58f3 3156 	copy_u\.w	a1,\$w6\[3\]
>  [0-9a-f]+ <[^>]*> 5900 62d6 	insert\.b	\$w11\[0\],t4
>  [0-9a-f]+ <[^>]*> 590f 7356 	insert\.b	\$w13\[15\],t6
>  [0-9a-f]+ <[^>]*> 5920 83d6 	insert\.h	\$w15\[0\],s0
> diff --git a/gas/testsuite/gas/mips/micromips@msa64.d
> b/gas/testsuite/gas/mips/micromips@msa64.d
> index d8369a8..314ad2d 100644
> --- a/gas/testsuite/gas/mips/micromips@msa64.d
> +++ b/gas/testsuite/gas/mips/micromips@msa64.d
> @@ -9,8 +9,8 @@ Disassembly of section \.text:
>  [0-9a-f]+ <[^>]*> 5b03 946e 	fill\.d	\$w17,s2
>  [0-9a-f]+ <[^>]*> 58b8 c5d6 	copy_s\.d	s7,\$w24\[0\]
>  [0-9a-f]+ <[^>]*> 58b9 d656 	copy_s\.d	t9,\$w26\[1\]
> -[0-9a-f]+ <[^>]*> 58f8 41d6 	copy_u\.d	a3,\$w8\[0\]
> -[0-9a-f]+ <[^>]*> 58f9 5256 	copy_u\.d	a5,\$w10\[1\]
> +[0-9a-f]+ <[^>]*> 58f0 20d6 	copy_u\.w	v1,\$w4\[0\]
> +[0-9a-f]+ <[^>]*> 58f3 3156 	copy_u\.w	a1,\$w6\[3\]
>  [0-9a-f]+ <[^>]*> 5938 c5d6 	insert\.d	\$w23\[0\],t8
>  [0-9a-f]+ <[^>]*> 5939 d656 	insert\.d	\$w25\[1\],k0
>  [0-9a-f]+ <[^>]*> 5b7a c820 	dlsa	t9,k0,k1,0x1
> diff --git a/gas/testsuite/gas/mips/mipsr6@msa.d
> b/gas/testsuite/gas/mips/mipsr6@msa.d
> index 4471c95..80cecb4 100644
> --- a/gas/testsuite/gas/mips/mipsr6@msa.d
> +++ b/gas/testsuite/gas/mips/mipsr6@msa.d
> @@ -492,25 +492,25 @@ Disassembly of section \.text:
>  [0-9a-f]+ <[^>]*> 7a0007c2 	shf\.w	\$w31,\$w0,0x0
>  [0-9a-f]+ <[^>]*> 7aff1042 	shf\.w	\$w1,\$w2,0xff
>  [0-9a-f]+ <[^>]*> 45e38000 	bnz\.v	\$w3,[0-9a-f]+ <[^>]*>
> -[	]*794: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 45e47fff 	bnz\.v	\$w4,[0-9a-f]+ <[^>]*>
> -[	]*79c: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 45e5ffff 	bnz\.v	\$w5,[0-9a-f]+ <[^>]*>
> -[	]*7a4: .*R_MIPS_PC16	.L1.1
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	.L1.1
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 45e6ffff 	bnz\.v	\$w6,[0-9a-f]+ <[^>]*>
>  [	]*[0-9a-f]+: R_MIPS_PC16	external_label
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 45678000 	bz\.v	\$w7,[0-9a-f]+ <[^>]*>
> -[	]*7b4: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 45687fff 	bz\.v	\$w8,[0-9a-f]+ <[^>]*>
> -[	]*7bc: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 4569ffff 	bz\.v	\$w9,[0-9a-f]+ <[^>]*>
> -[	]*7c4: .*R_MIPS_PC16	.L1.2
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	.L1.2
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 456affff 	bz\.v	\$w10,[0-9a-f]+ <[^>]*>
>  [	]*[0-9a-f]+: R_MIPS_PC16	external_label
> @@ -540,8 +540,6 @@ Disassembly of section \.text:
>  [0-9a-f]+ <[^>]*> 78cff759 	copy_u\.b	sp,\$w30\[15\]
>  [0-9a-f]+ <[^>]*> 78e007d9 	copy_u\.h	ra,\$w0\[0\]
>  [0-9a-f]+ <[^>]*> 78e71059 	copy_u\.h	at,\$w2\[7\]
> -[0-9a-f]+ <[^>]*> 78f020d9 	copy_u\.w	v1,\$w4\[0\]
> -[0-9a-f]+ <[^>]*> 78f33159 	copy_u\.w	a1,\$w6\[3\]
>  [0-9a-f]+ <[^>]*> 790062d9 	insert\.b	\$w11\[0\],t4
>  [0-9a-f]+ <[^>]*> 790f7359 	insert\.b	\$w13\[15\],t6
>  [0-9a-f]+ <[^>]*> 792083d9 	insert\.h	\$w15\[0\],s0
> @@ -557,97 +555,97 @@ Disassembly of section \.text:
>  [0-9a-f]+ <[^>]*> 797841d9 	insve\.d	\$w7\[0\],\$w8\[0\]
>  [0-9a-f]+ <[^>]*> 79795259 	insve\.d	\$w9\[1\],\$w10\[0\]
>  [0-9a-f]+ <[^>]*> 478b8000 	bnz\.b	\$w11,[0-9a-f]+ <[^>]*>
> -[	]*878: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 478c7fff 	bnz\.b	\$w12,[0-9a-f]+ <[^>]*>
> -[	]*880: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 478dffff 	bnz\.b	\$w13,[0-9a-f]+ <[^>]*>
> -[	]*888: .*R_MIPS_PC16	.L1.3
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	.L1.3
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 478effff 	bnz\.b	\$w14,[0-9a-f]+ <[^>]*>
>  [	]*[0-9a-f]+: R_MIPS_PC16	external_label
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47af8000 	bnz\.h	\$w15,[0-9a-f]+ <[^>]*>
> -[	]*898: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47b07fff 	bnz\.h	\$w16,[0-9a-f]+ <[^>]*>
> -[	]*8a0: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47b1ffff 	bnz\.h	\$w17,[0-9a-f]+ <[^>]*>
> -[	]*8a8: .*R_MIPS_PC16	.L1.4
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	.L1.4
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47b2ffff 	bnz\.h	\$w18,[0-9a-f]+ <[^>]*>
>  [	]*[0-9a-f]+: R_MIPS_PC16	external_label
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47d38000 	bnz\.w	\$w19,[0-9a-f]+ <[^>]*>
> -[	]*8b8: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47d47fff 	bnz\.w	\$w20,[0-9a-f]+ <[^>]*>
> -[	]*8c0: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47d5ffff 	bnz\.w	\$w21,[0-9a-f]+ <[^>]*>
> -[	]*8c8: .*R_MIPS_PC16	.L1.5
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	.L1.5
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47d6ffff 	bnz\.w	\$w22,[0-9a-f]+ <[^>]*>
>  [	]*[0-9a-f]+: R_MIPS_PC16	external_label
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47f78000 	bnz\.d	\$w23,[0-9a-f]+ <[^>]*>
> -[	]*8d8: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47f87fff 	bnz\.d	\$w24,[0-9a-f]+ <[^>]*>
> -[	]*8e0: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47f9ffff 	bnz\.d	\$w25,[0-9a-f]+ <[^>]*>
> -[	]*8e8: .*R_MIPS_PC16	.L1.6
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	.L1.6
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47faffff 	bnz\.d	\$w26,[0-9a-f]+ <[^>]*>
>  [	]*[0-9a-f]+: R_MIPS_PC16	external_label
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 471b8000 	bz\.b	\$w27,[0-9a-f]+ <[^>]*>
> -[	]*8f8: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 471c7fff 	bz\.b	\$w28,[0-9a-f]+ <[^>]*>
> -[	]*900: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 471dffff 	bz\.b	\$w29,[0-9a-f]+ <[^>]*>
> -[	]*908: .*R_MIPS_PC16	.L1.7
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	.L1.7
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 471effff 	bz\.b	\$w30,[0-9a-f]+ <[^>]*>
>  [	]*[0-9a-f]+: R_MIPS_PC16	external_label
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 473f8000 	bz\.h	\$w31,[0-9a-f]+ <[^>]*>
> -[	]*918: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47207fff 	bz\.h	\$w0,[0-9a-f]+ <[^>]*>
> -[	]*920: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 4721ffff 	bz\.h	\$w1,[0-9a-f]+ <[^>]*>
> -[	]*928: .*R_MIPS_PC16	.L1.8
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	.L1.8
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 4722ffff 	bz\.h	\$w2,[0-9a-f]+ <[^>]*>
>  [	]*[0-9a-f]+: R_MIPS_PC16	external_label
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47438000 	bz\.w	\$w3,[0-9a-f]+ <[^>]*>
> -[	]*938: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47447fff 	bz\.w	\$w4,[0-9a-f]+ <[^>]*>
> -[	]*940: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 4745ffff 	bz\.w	\$w5,[0-9a-f]+ <[^>]*>
> -[	]*948: .*R_MIPS_PC16	.L1.9
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	.L1.9
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 4746ffff 	bz\.w	\$w6,[0-9a-f]+ <[^>]*>
>  [	]*[0-9a-f]+: R_MIPS_PC16	external_label
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47678000 	bz\.d	\$w7,[0-9a-f]+ <[^>]*>
> -[	]*958: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 47687fff 	bz\.d	\$w8,[0-9a-f]+ <[^>]*>
> -[	]*960: .*R_MIPS_PC16	L0.
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	L0.
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 4769ffff 	bz\.d	\$w9,[0-9a-f]+ <[^>]*>
> -[	]*968: .*R_MIPS_PC16	.L1.10
> +[	]*[0-9a-f]+: .*R_MIPS_PC16	.L1.10
>  [0-9a-f]+ <[^>]*> 00000000 	nop
>  [0-9a-f]+ <[^>]*> 476affff 	bz\.d	\$w10,[0-9a-f]+ <[^>]*>
>  [	]*[0-9a-f]+: R_MIPS_PC16	external_label
> diff --git a/gas/testsuite/gas/mips/msa.d b/gas/testsuite/gas/mips/msa.d
> index 488fb5d..21c4dff 100644
> --- a/gas/testsuite/gas/mips/msa.d
> +++ b/gas/testsuite/gas/mips/msa.d
> @@ -533,8 +533,6 @@ Disassembly of section \.text:
>  [0-9a-f]+ <[^>]*> 78cff759 	copy_u\.b	sp,\$w30\[15\]
>  [0-9a-f]+ <[^>]*> 78e007d9 	copy_u\.h	ra,\$w0\[0\]
>  [0-9a-f]+ <[^>]*> 78e71059 	copy_u\.h	at,\$w2\[7\]
> -[0-9a-f]+ <[^>]*> 78f020d9 	copy_u\.w	v1,\$w4\[0\]
> -[0-9a-f]+ <[^>]*> 78f33159 	copy_u\.w	a1,\$w6\[3\]
>  [0-9a-f]+ <[^>]*> 790062d9 	insert\.b	\$w11\[0\],t4
>  [0-9a-f]+ <[^>]*> 790f7359 	insert\.b	\$w13\[15\],t6
>  [0-9a-f]+ <[^>]*> 792083d9 	insert\.h	\$w15\[0\],s0
> diff --git a/gas/testsuite/gas/mips/msa.s b/gas/testsuite/gas/mips/msa.s
> index e16b6af..f25aa94 100644
> --- a/gas/testsuite/gas/mips/msa.s
> +++ b/gas/testsuite/gas/mips/msa.s
> @@ -531,8 +531,6 @@ test_msa:
>  	copy_u.b	$29,$w30[15]
>  	copy_u.h	$31,$w0[0]
>  	copy_u.h	$1,$w2[7]
> -	copy_u.w	$3,$w4[0]
> -	copy_u.w	$5,$w6[3]
>  	insert.b	$w11[0],$12
>  	insert.b	$w13[15],$14
>  	insert.h	$w15[0],$16
> diff --git a/gas/testsuite/gas/mips/msa64.d b/gas/testsuite/gas/mips/msa64.d
> index 2ade93f..c80e54f 100644
> --- a/gas/testsuite/gas/mips/msa64.d
> +++ b/gas/testsuite/gas/mips/msa64.d
> @@ -8,8 +8,8 @@ Disassembly of section \.text:
>  [0-9a-f]+ <[^>]*> 7b03945e 	fill\.d	\$w17,s2
>  [0-9a-f]+ <[^>]*> 78b8c5d9 	copy_s\.d	s7,\$w24\[0\]
>  [0-9a-f]+ <[^>]*> 78b9d659 	copy_s\.d	t9,\$w26\[1\]
> -[0-9a-f]+ <[^>]*> 78f841d9 	copy_u\.d	a3,\$w8\[0\]
> -[0-9a-f]+ <[^>]*> 78f95259 	copy_u\.d	a5,\$w10\[1\]
> +[0-9a-f]+ <[^>]*> 78f020d9 	copy_u\.w	v1,\$w4\[0\]
> +[0-9a-f]+ <[^>]*> 78f33159 	copy_u\.w	a1,\$w6\[3\]
>  [0-9a-f]+ <[^>]*> 7938c5d9 	insert\.d	\$w23\[0\],t8
>  [0-9a-f]+ <[^>]*> 7939d659 	insert\.d	\$w25\[1\],k0
>  [0-9a-f]+ <[^>]*> 035bc815 	dlsa	t9,k0,k1,0x1
> diff --git a/gas/testsuite/gas/mips/msa64.s b/gas/testsuite/gas/mips/msa64.s
> index 665220b..1a68139 100644
> --- a/gas/testsuite/gas/mips/msa64.s
> +++ b/gas/testsuite/gas/mips/msa64.s
> @@ -3,8 +3,8 @@ test_msa64:
>  	fill.d	$w17,$18
>  	copy_s.d	$23,$w24[0]
>  	copy_s.d	$25,$w26[1]
> -	copy_u.d	$7,$w8[0]
> -	copy_u.d	$9,$w10[1]
> +	copy_u.w	$3,$w4[0]
> +	copy_u.w	$5,$w6[3]
>  	insert.d	$w23[0],$24
>  	insert.d	$w25[1],$26
>  	dlsa	$25,$26,$27,1
> diff --git a/opcodes/mips-opc.c b/opcodes/mips-opc.c
> index b29a8ed..402f887 100644
> --- a/opcodes/mips-opc.c
> +++ b/opcodes/mips-opc.c
> @@ -3010,8 +3010,7 @@ const struct mips_opcode mips_builtin_opcodes[] =
>  {"copy_s.d",		"+k,+e+w",	0x78b80019, 0xfffe003f,	WR_1|RD_2,
> 	0,		0,		MSA64,	0 },
>  {"copy_u.b",		"+k,+e+o",	0x78c00019, 0xfff0003f,	WR_1|RD_2,
> 	0,		0,		MSA,	0 },
>  {"copy_u.h",		"+k,+e+u",	0x78e00019, 0xfff8003f,	WR_1|RD_2,
> 	0,		0,		MSA,	0 },
> -{"copy_u.w",		"+k,+e+v",	0x78f00019, 0xfffc003f,	WR_1|RD_2,
> 	0,		0,		MSA,	0 },
> -{"copy_u.d",		"+k,+e+w",	0x78f80019, 0xfffe003f,	WR_1|RD_2,
> 	0,		0,		MSA64,	0 },
> +{"copy_u.w",		"+k,+e+v",	0x78f00019, 0xfffc003f,	WR_1|RD_2,
> 	0,		0,		MSA64,	0 },
>  {"insert.b",		"+d+o,d",	0x79000019, 0xfff0003f,	MOD_1|RD_3,
> 	0,		0,		MSA,	0 },
>  {"insert.h",		"+d+u,d",	0x79200019, 0xfff8003f,	MOD_1|RD_3,
> 	0,		0,		MSA,	0 },
>  {"insert.w",		"+d+v,d",	0x79300019, 0xfffc003f,	MOD_1|RD_3,
> 	0,		0,		MSA,	0 },
> --
> 2.4.5
> 


Index Nav: [Date Index] [Subject Index] [Author Index] [Thread Index]
Message Nav: [Date Prev] [Date Next] [Thread Prev] [Thread Next]