This is the mail archive of the libc-alpha@sources.redhat.com mailing list for the glibc project.


Index Nav: [Date Index] [Subject Index] [Author Index] [Thread Index]
Message Nav: [Date Prev] [Date Next] [Thread Prev] [Thread Next]
Other format: [Raw text]

glibc failed to build on ia64


I got

/export/build/gnu/glibc-nptl-3.4/build-ia64-linux/nptl/libpthread_pic.a(init.os)(.text+0xbb2):
In function `__pthread_initialize_minimal_internal':
../nptl/sysdeps/unix/sysv/linux/smp.h:41: undefined reference to
`__set_errno'
/export/build/gnu/glibc-nptl-3.4/build-ia64-linux/nptl/libpthread_pic.a(init.os)(.text+0xbd2):../nptl/sysdeps/unix/sysv/linux/smp.h:43:
undefined reference to `__set_errno'
collect2: ld returned 1 exit status
make[4]: ***
[/export/build/gnu/glibc-nptl-3.4/build-ia64-linux/nptl/libpthread.so]
Error 1

INLINE_SYSCALL in sysdeps/unix/sysv/linux/ia64/sysdep.h calls
__set_errno. But <errno.h> isn't included.


H.J.

H.J.


Index Nav: [Date Index] [Subject Index] [Author Index] [Thread Index]
Message Nav: [Date Prev] [Date Next] [Thread Prev] [Thread Next]